PulseGenerator.xml 6.64 KB
<?xml version="1.0" encoding="UTF-8"?>
<sbml xmlns="http://www.sbml.org/sbml/level2/version4" level="2" version="4">
  <annotation>
    <SimBiology xmlns="http://www.mathworks.com">
      <Version Major="5" Minor="0" Point="0"/>
    </SimBiology>
  </annotation>
  <model id="mw5e5242c9_f106_49c1_ad79_a05890c967e2" name="ReteSintetica">
    <listOfCompartments>
      <compartment id="mwa3854151_cd74_4ccb_9185_e480b212e53a" name="unnamed" size="1"/>
    </listOfCompartments>
    <listOfSpecies>
      <species id="mwecf685eb_710c_458e_a826_ac4316797fec" name="R2_active" compartment="mwa3854151_cd74_4ccb_9185_e480b212e53a" initialAmount="0"/>
      <species id="mw0769da31_7f58_4816_a3d5_89813f4ae836" name="S1" compartment="mwa3854151_cd74_4ccb_9185_e480b212e53a" initialAmount="470" boundaryCondition="true"/>
      <species id="mw2cc70178_a739_438f_b5e7_d2ff73bc3376" name="Y_active" compartment="mwa3854151_cd74_4ccb_9185_e480b212e53a" initialAmount="0"/>
    </listOfSpecies>
    <listOfParameters>
      <parameter id="mw6d98d26e_e7e9_4d97_8345_f82836972796" name="k1" value="5"/>
      <parameter id="mwa02b0398_454f_4927_b65e_7cc91bf2bbdc" name="K1" value="1"/>
      <parameter id="mwf11e97f2_87b4_40c3_8e01_06f18e79c7c7" name="k12" value="20"/>
      <parameter id="mw12802443_ef80_47b5_8c03_a43aaf18515e" name="K2" value="100"/>
      <parameter id="mwf52fa444_2fc9_4977_a76e_b7901005e084" name="lambda_2" value="0.01"/>
      <parameter id="mw748972a5_3f1f_4c2a_a308_1fdf0f8fc959" name="lambda" value="0.04"/>
    </listOfParameters>
    <listOfReactions>
      <reaction id="mw3f8353f6_d80b_45cf_916b_b27bd04aa9bd" reversible="false" fast="false">
        <listOfReactants>
          <speciesReference species="mwecf685eb_710c_458e_a826_ac4316797fec"/>
        </listOfReactants>
        <kineticLaw>
          <math xmlns="http://www.w3.org/1998/Math/MathML">
            <apply>
              <times/>
              <ci> mwf52fa444_2fc9_4977_a76e_b7901005e084 </ci>
              <ci> mwecf685eb_710c_458e_a826_ac4316797fec </ci>
            </apply>
          </math>
        </kineticLaw>
      </reaction>
      <reaction id="mwd5e6bcfb_8775_4fe8_8ea4_7ebcf1262b7f" reversible="false" fast="false">
        <listOfProducts>
          <speciesReference species="mwecf685eb_710c_458e_a826_ac4316797fec"/>
        </listOfProducts>
        <kineticLaw>
          <math xmlns="http://www.w3.org/1998/Math/MathML">
            <apply>
              <times/>
              <ci> mw6d98d26e_e7e9_4d97_8345_f82836972796 </ci>
              <apply>
                <divide/>
                <apply>
                  <power/>
                  <apply>
                    <divide/>
                    <ci> mw0769da31_7f58_4816_a3d5_89813f4ae836 </ci>
                    <ci> mwa02b0398_454f_4927_b65e_7cc91bf2bbdc </ci>
                  </apply>
                  <cn type="integer"> 3 </cn>
                </apply>
                <apply>
                  <plus/>
                  <cn type="integer"> 1 </cn>
                  <apply>
                    <power/>
                    <apply>
                      <divide/>
                      <ci> mw0769da31_7f58_4816_a3d5_89813f4ae836 </ci>
                      <ci> mwa02b0398_454f_4927_b65e_7cc91bf2bbdc </ci>
                    </apply>
                    <cn type="integer"> 3 </cn>
                  </apply>
                </apply>
              </apply>
            </apply>
          </math>
        </kineticLaw>
      </reaction>
      <reaction id="mwa58b7194_967a_4057_81dd_cdd686063324" reversible="false" fast="false">
        <listOfReactants>
          <speciesReference species="mw2cc70178_a739_438f_b5e7_d2ff73bc3376"/>
        </listOfReactants>
        <kineticLaw>
          <math xmlns="http://www.w3.org/1998/Math/MathML">
            <apply>
              <times/>
              <ci> mw748972a5_3f1f_4c2a_a308_1fdf0f8fc959 </ci>
              <ci> mw2cc70178_a739_438f_b5e7_d2ff73bc3376 </ci>
            </apply>
          </math>
        </kineticLaw>
      </reaction>
      <reaction id="mwc152b0b4_94f2_475e_92fc_26eaee472a99" reversible="false" fast="false">
        <listOfProducts>
          <speciesReference species="mw2cc70178_a739_438f_b5e7_d2ff73bc3376"/>
        </listOfProducts>
        <kineticLaw>
          <math xmlns="http://www.w3.org/1998/Math/MathML">
            <apply>
              <times/>
              <apply>
                <divide/>
                <ci> mwf11e97f2_87b4_40c3_8e01_06f18e79c7c7 </ci>
                <apply>
                  <plus/>
                  <cn type="integer"> 1 </cn>
                  <apply>
                    <power/>
                    <apply>
                      <divide/>
                      <ci> mwecf685eb_710c_458e_a826_ac4316797fec </ci>
                      <ci> mw12802443_ef80_47b5_8c03_a43aaf18515e </ci>
                    </apply>
                    <cn type="integer"> 3 </cn>
                  </apply>
                </apply>
              </apply>
              <apply>
                <divide/>
                <apply>
                  <power/>
                  <apply>
                    <divide/>
                    <ci> mw0769da31_7f58_4816_a3d5_89813f4ae836 </ci>
                    <ci> mwa02b0398_454f_4927_b65e_7cc91bf2bbdc </ci>
                  </apply>
                  <cn type="integer"> 3 </cn>
                </apply>
                <apply>
                  <plus/>
                  <cn type="integer"> 1 </cn>
                  <apply>
                    <power/>
                    <apply>
                      <divide/>
                      <ci> mw0769da31_7f58_4816_a3d5_89813f4ae836 </ci>
                      <ci> mwa02b0398_454f_4927_b65e_7cc91bf2bbdc </ci>
                    </apply>
                    <cn type="integer"> 3 </cn>
                  </apply>
                </apply>
              </apply>
            </apply>
          </math>
        </kineticLaw>
      </reaction>
    </listOfReactions>
    <listOfEvents>
      <event id="mwb0716424_3762_425b_b959_3ca6703abfcb">
        <trigger>
          <math xmlns="http://www.w3.org/1998/Math/MathML">
            <apply>
              <geq/>
              <csymbol encoding="text" definitionURL="http://www.sbml.org/sbml/symbols/time"> time </csymbol>
              <cn type="integer"> 50 </cn>
            </apply>
          </math>
        </trigger>
        <listOfEventAssignments>
          <eventAssignment variable="mw0769da31_7f58_4816_a3d5_89813f4ae836">
            <math xmlns="http://www.w3.org/1998/Math/MathML">
              <cn type="integer"> 0 </cn>
            </math>
          </eventAssignment>
        </listOfEventAssignments>
      </event>
    </listOfEvents>
  </model>
</sbml>